Panel For Example Panel For Example Panel For Example

EDA Industry Evolution and Major Vendors

Author : Adrian September 17, 2025

Overview

Electronic Design Automation (EDA) tools are foundational in integrated circuit (IC) workflows, spanning design, manufacturing, packaging, and testing. As the software backbone for chip design and production, EDA tools play a central role in translating device concepts into manufacturable silicon. This article reviews EDA technologies, summarizes major international and Chinese vendors, and catalogs key EDA tool categories that support various stages of chip development and fabrication.

What is EDA

EDA (Electronic Design Automation) refers to the family of computer software tools used to assist the full lifecycle of large-scale ICs, including functional design, synthesis, verification, manufacturing, packaging, and testing. EDA belongs to the industrial software category that supports product R&D, process planning, manufacturing, marketing, procurement, operations, and services. EDA is grouped alongside CAD, CAE, CAM, and PLM as R&D digitalization software within industrial software.

Narrowly defined, EDA typically refers to tools needed during chip design. Broadly defined, EDA covers software tools required across design, manufacturing, and packaging/test stages. These tools include system-level design and simulation, circuit design and simulation, printed circuit board design and verification, IC layout design and verification, digital logic and analog circuit design, mixed-signal design, SoC design, PLD design, ASIC design, and more. From transistor-level devices to complete electronic systems such as GPUs, radios, consumer electronics, phones, computers, and automotive electronics, EDA tools are involved in design, simulation, and verification steps throughout.

For clarity, this article groups circuit design and simulation tools, chip design and manufacturing tools, and PCB design tools under the EDA umbrella. With advances in large-scale integration, computing, and system design, EDA technologies have developed rapidly and expanded into many application areas.

Major EDA Vendors

Global EDA is a highly concentrated market. Synopsys, Cadence, Siemens EDA, and Ansys are often cited as the leading vendors, together accounting for a large share of the global market. Their dominance stems from providing broad, end-to-end toolsets that cover front-end design, back-end design, simulation/verification, and signoff, forming closed design flows. Other international vendors include Silvaco, Keysight, Altium, and Zuken, each focusing on specific subdomains.

Synopsys

Synopsys offers a comprehensive product line for chip design and verification. Key strengths include digital design, logic synthesis, and timing analysis tools such as Design Compiler, PrimeTime, and IC Validator for physical verification.

Cadence

Cadence provides tools across the entire electronic design flow, including system-level design, functional verification, circuit synthesis, place-and-route, physical verification, analog/mixed-signal and RF design, full-custom design, and hardware modeling and simulation. Cadence is strong in analog and mixed-signal design tools.

Siemens EDA

Formerly Mentor Graphics, Siemens EDA offers comprehensive software and hardware design solutions, with strengths in back-end place-and-route and PCB design tools such as PowerPCB and Expedition.

Ansys

Ansys expanded into EDA after acquiring Ansoft, bringing RF and high-frequency simulation capabilities. Ansys focuses on signoff and simulation, with tools like RedHawk for power-aware design and power delivery network analysis.

Silvaco

Silvaco provides TCAD process and device simulation, SPICE parameter extraction, circuit simulation, and full-custom IC design and verification tools, specializing in analog and mixed-signal design. Its Victory TCAD device modeling tools are industry-recognized.

Keysight

Keysight is a leader in electronic and optical test and measurement and offers EDA tools integrated with device modeling, circuit design, electromagnetic simulation, layout, and system-level modeling, particularly for communications product design.

Altium

Altium develops PC-based PCB design software, offering schematic capture, autorouting, and PCB component layout tools. Its early Protel tool became widely used for fast PCB design workflows.

Zuken

Zuken focuses on PCB, MCM, hybrid, and IC package design software and related support services, concentrating on PCB, wiring harness, and chip packaging domains.

Source: CIMdata

EDA Vendors in China

With the commercialization of 5G, AI, and autonomous driving, chip demand has surged, and the Chinese semiconductor market has expanded rapidly. Under these market conditions, the demand for EDA tools in the Chinese market has grown significantly. A number of independent Chinese EDA vendors have emerged in recent years, and several have gone public, including Huada Empyrean, Vermeer Technology, and Glorious Micro. Government and local support, plus capital market interest, have increased attention on domestic EDA capability and collaboration.

Huada Empyrean (Huada Jiutian)

Huada Empyrean provides analog/mixed-signal full-flow solutions, digital SoC design and optimization, foundry-focused EDA tools, and flat-panel display design flows. Products include schematic and layout editors, circuit simulators, physical verification tools, parasitic extraction, and library characterization tools. Huada Empyrean is listed on the Shenzhen Stock Exchange.

Vermeer Technology (Galen)

Vermeer Technology provides high-end device modeling, high-precision circuit simulation and optimization, low-frequency noise testing, and integrated semiconductor parameter test solutions, targeting memory design flows. Its tools support advanced process nodes such as 7 nm, 5 nm, and 3 nm. Vermeer Technology is listed on the Shanghai STAR Market.

Glorious Micro (Grandset)

Glorious Micro focuses on yield improvement and fast electrical test monitoring, offering EDA software, circuit IP, WAT electrical test equipment, and integrated solutions to improve yield and chip stability throughout the product lifecycle. Glorious Micro is listed on the Shenzhen exchange.

Jiutongfang

Jiutongfang develops a set of EDA tools covering RF IC design flow and is working toward a complete RF EDA software series.

Xinpact (XINHE)

Xinpact (formerly Xinhua Technology) focuses on EDA software, integrated passive devices (IPD), and system-in-package micro-systems. Its products emphasize simulation, combining electromagnetic simulation, artificial intelligence, and cloud computing to provide multi-level simulation solutions spanning chip, packaging, and system.

Chipstart (Xinhua Zhang)

Chipstart offers five product lines covering digital chip verification needs: hardware emulation, FPGA prototyping, intelligent verification, formal verification, and logic simulation. The product set addresses gaps in domestic verification flows and supports multi-tool collaboration to improve verification efficiency.

GloryIC (Xingxin Technology)

GloryIC provides signoff-grade extraction tools and solutions for IC design across traditional and advanced process nodes. Its GloryEX extraction solution for FinFET processes has passed high-standard certification from major foundries and is positioned as a domestic signoff-level parasitic extraction tool.

Gowin and Others

Gowin and other vendors focus on front-end verification and fast prototyping. The Chinese market has also seen many other specialized and niche EDA firms offering point tools and domain solutions, such as PCB design, DFM, easy-to-use cloud verification, and more. Examples include JLC EDA, Wangyou Technology, Akasi Microelectronics, Ruobei Electronics, Blue Ocean Micro, KJD, Hongxin Micro, Innoda, Xinhangji, Zhixin Simulation, Hejian, Lixin Software, Biangxin, and many others. These vendors are gradually developing localized capabilities and point-solution expertise while moving toward broader flow-level offerings.

EDA Tool Categories and Where They Fit

EDA tool advancement helps control chip design costs and accelerates design cycles. A complete chip design and manufacturing flow can be divided into process platform development, chip design, and chip manufacturing. Foundries typically lead process platform development, producing device models and distribution kits such as PDKs for designers. Chip design houses use those PDKs and libraries to implement circuits, verify functionality, and perform physical implementation. Foundries then fabricate chips based on final design files. Each stage requires specific EDA tools that can be grouped into design-class and manufacturing-class EDA software.

Design-class EDA

Design-class EDA is typically split into digital and analog tool sets due to differences in design methodology, flows, and verification requirements.

Digital Chip Design Tools

Digital tools cover architecture design, RTL coding, simulation and verification, logic synthesis, static timing analysis (STA), and physical verification. Architecture tools operate at a high level of abstraction and include schematic/behavioral editors and system-level simulators. Representative tools include Cadence Virtuoso, Siemens EDA Tanner S-Edit, Synopsys Custom Compiler, Huada Empyrean Aether SE, and Vermeer NavisPro.

RTL coding is expressed in hardware description languages such as Verilog, VHDL, or SystemVerilog. Simulation and verification tools validate RTL behavior; examples include Cadence Xcelium and Spectre families, Siemens ModelSim, Synopsys VCS, and vendor-specific simulators from Chinese vendors. Logic synthesis transforms RTL into gate-level netlists; common tools include Cadence Genus, Siemens Precision RTL, Synopsys Design Compiler and Fusion Compiler, and Synplify. STA tools include Cadence Tempus, Siemens Velocity, Synopsys PrimeTime, and domestic products such as ICExplorer-XTime and TRASTA. Physical verification and signoff tools include Cadence Pegasus, Siemens Calibre, Synopsys IC Validator, Ansys RedHawk, Huada Empyrean Skipper, and others.

Analog and Mixed-Signal Design Tools

Analog design is often less automated and uses graphical schematics rather than HDL. Tools support circuit design, simulation, layout, parasitic extraction, and physical verification. Typical tools include Cadence Virtuoso, Siemens Tanner, Synopsys Custom Compiler and Laker, Zuken CR-8000, Huada Empyrean Aether, Vermeer NanoDesigner, Glorious Micro SmtCell, and Jiutongfang eSchema. Simulation tools include Cadence Spectre, Synopsys HSPICE, Siemens ELDO, and domestic simulators like ALPS and NanoSpice variants. Layout tools address DRC and LVS checks and integration with foundry-specific rules. Parasitic extraction tools include Cadence QRC, Siemens Calibre XRC, Synopsys Star-RC, Silvaco extraction tools, Huada Empyrean RCExplorer, and GloryEX from GloryIC. Physical verification tools include Cadence Dracula and PVS, Siemens Calibre, Synopsys Hercules, and domestic equivalents.

Manufacturing-class EDA

Manufacturing-class EDA covers cell libraries, device modeling, PDK development, computational lithography, yield analysis, and package modeling.

Standard cell library tools include Cadence Liberate, Siemens Solido, Synopsys SiliconSmart, and domestic tools such as Huada Empyrean Liberal/Qualib and Vermeer NanoCell/LibWiz. Device modeling tools used by foundries include Silvaco Victory TCAD, Keysight MBP/IC-CAP, Huada Empyrean XModel, Vermeer BSIMProPlus and related suites, and Jiutongfang ePCD. PDK generation and QA tools include Huada Empyrean PDK Build & QA, Vermeer PCellLab and PQLab, and Xinpact iModeler/iVerifier.

Computational lithography encompasses OPC, source-mask optimization, multiple patterning, and inverse lithography solutions. Key tools include Siemens Calibre, Synopsys Proteus and Synthesis, and domestic OPC solutions such as those from local optical firms. Yield analysis and fill tools are provided by Cadence, Siemens, Synopsys, and Chinese vendors offering yield and metal fill solutions. Packaging and package-level modeling tools include Siemens Xpedition Package Designer, Altium Designer, Zuken CR-8000, Vermeer PadInspector, and Xinpact Metis/Hermes.

EDA tools are also essential at the PCB level. PCB EDA tools include Cadence OrCAD and Allegro families, Siemens PowerPCB and Expedition, Altium Designer, Zuken CR-8000 Design Force, JLC EDA, Wangyou DFM Expert, EasyEDA, and board-level DFM tools from local vendors.

Conclusion

In many point-tool areas, Chinese vendors have achieved breakthroughs and developed competitive strengths. Vendors in China are pursuing multi-point layouts and gradually forming an ecosystem. However, compared to international EDA giants, Chinese vendors still face gaps in system-level completeness and advanced technologies. While domestic solutions have achieved notable progress in device modeling and PDKs, a fully integrated, end-to-end toolchain remains a work in progress.

As integration density and performance demands continue to increase under Moore's Law pressures, EDA tools will remain critical. Sustained innovation across system-level flows and toolchains will be important for vendors in China to advance their competitiveness within the global semiconductor ecosystem.